Welcome![Sign In][Sign Up]
Location:
Search - frequency counter

Search list

[VHDL-FPGA-Verilog8wei

Description: 一个8位的十进制频率计数器,精度还不错。-An 8-bit frequency counter decimal precision is also good.
Platform: | Size: 1024 | Author: xixi | Hits:

[SCMpinlvji

Description: 单片机频率计数器,自己写的,用的是stc12c5a60s2单片机,在1602液晶屏上显示,60khz以内还可以。-Single-chip frequency counter, its own written stc12c5a60s2 using a single chip, in the 1602 LCD display, 60khz or less can be.
Platform: | Size: 23552 | Author: fillzero | Hits:

[Windows Developfrequency-meer-8mhz-counter-atmega32

Description: frequency meer 8mhz counter atmega32
Platform: | Size: 46080 | Author: dehghan | Hits:

[Embeded-SCM Developmini51

Description: mini51中包含51单片机的基本IO口驱动,同时还有很多丰富的实验例程:如中断,定时器,串口。另外还有一些综合设计:如示波器,频率计-mini51 contains 51 basic single-chip IO I drive, at the same time there are many rich experimental routine: If interrupted, timers, serial port. There are also a number of integrated design: such as oscilloscopes, frequency counter, etc.
Platform: | Size: 27195392 | Author: 方圆 | Hits:

[VHDL-FPGA-VerilogADC_Fre_counter_LED_keyboard

Description: FPGA tlc0820采样控制 高精度测频 LED键盘显示 VHDl 调试与EP1C3-FPGA vhdl ADC LED keyboard frequency counter test
Platform: | Size: 2595840 | Author: Albert Sun | Hits:

[VHDL-FPGA-Verilogpinlji

Description: 同样是基于altera芯片的简易频率计程序!!用数码管显示-Similarly, based on the simple altera-chip frequency counter program! ! Digital LED display! ! !
Platform: | Size: 38912 | Author: 林海 | Hits:

[SCMpinglu2

Description: 51上的简易频率计,在T1脚输入方波后,用串口调试工具即可显示出频率,并有详细注释,欢迎下载-51 on a simple frequency counter, in the T1 pin input square wave, you use serial debugging tools can show the frequency and detailed comments, please download!!
Platform: | Size: 2048 | Author: 李键锋 | Hits:

[File Formatpinglvji1

Description: 这是07浙江省大学生电子设计的论文 频率计-This is the 07 papers Undergraduate Electronic Design, Zhejiang, frequency counter
Platform: | Size: 1776640 | Author: yaodx | Hits:

[SCMpinglvji2

Description: 这是07浙江省大学生电子设计的论文 频率计二-This is the 07 papers Undergraduate Electronic Design, Zhejiang, frequency counter 2
Platform: | Size: 2274304 | Author: yaodx | Hits:

[VHDL-FPGA-VerilogFPGA_Counter

Description: 利用FPGA设计的可以自适应的频率计,里面有详细的文档介绍。-FPGA designs can use adaptive frequency counter, which document describes in detail.
Platform: | Size: 106496 | Author: 李庆雨 | Hits:

[SCM2G_Frequency_counter_schematic

Description: 2GHZ频率计原理图,基于单片机为核心,外接二进制计数器,能分段计算1-60MHz和50MHz-2.4GHz频率,制作简单。-2GHZ frequency counter schematic diagram, based on microcontroller as the core, external binary counter can be calculated in subparagraph 1-60MHz and 50MHz-2.4GHz frequency, producing simple.
Platform: | Size: 20480 | Author: 雷一鸣 | Hits:

[Embeded-SCM Developcewenwenhepinluji

Description: 本程序将ds18b20测温的程序同频率计程序和按键结合在一起,同时实现测温和测频率,使得程序多功能化-This program will ds18b20 temperature program with the frequency counter processes and key combination, while achieving the temperature and the measured frequency, makes the program multifunctional
Platform: | Size: 368640 | Author: 夏阳 | Hits:

[Embeded-SCM Developpingljc

Description: 本源码是基于MCS-51单片机的等精度频率计编写的。输入信号为峰峰值5v的正弦信号,频率测量范围10HZ~100MHZ ,频率测量精度为0.1 。采用1602液晶显示器显示测量结果。信号源由PROTEUS 的虚拟信号发生器产生。-The source is based on the MCS-51 microcontroller and other precision frequency counter prepared. 5v peak to peak input signal is sinusoidal signal, the frequency measuring range 10HZ ~ 100MHZ, frequency measurement accuracy of 0.1 . LCD display with 1602 measurement results. The signal source is a virtual signal generator PROTEUS.
Platform: | Size: 72704 | Author: 李林 | Hits:

[assembly languageFreq

Description: 上传一个频率计C程序。希望对一些人有帮助。频率计可以测量1~9999HZ-Upload a frequency counter C program. Some people want to help. Frequency counter can measure 1 ~ 9999HZ
Platform: | Size: 1024 | Author: 刘云 | Hits:

[Embeded-SCM Developjiang

Description: 频率计程序,用1602液晶显示的,最多显示7为,有3个档位-Frequency counter program, with the 1602 liquid crystal display, and a maximum of seven for, there are three stalls
Platform: | Size: 1232896 | Author: 蒋天雨 | Hits:

[VHDL-FPGA-VerilogFREQ

Description: 硬件描述语言VHDL的频率计程序,可用于做实验,或者初学者借鉴.-Hardware Description Language VHDL of the frequency counter program can be used for experiments, or the beginners learn.
Platform: | Size: 356352 | Author: czm | Hits:

[AlgorithmFrequency_tahir_uet

Description: This the sorce code in Visual Basic 6 for the Frequency counter.-This is the sorce code in Visual Basic 6 for the Frequency counter.
Platform: | Size: 3072 | Author: Muhammad Kamran | Hits:

[Embeded-SCM Developpinlv

Description: 89c51的频率计程序 很实用的程序 74595驱动4为led显示-89c51 The frequency counter program is very useful program for led display 74595 driver 4
Platform: | Size: 18432 | Author: LHT | Hits:

[VHDL-FPGA-Verilogcounter

Description: 用VHDL语言实现的计时器,最大计时为24小时,计时精度为1ms,设有复位和暂停功能,使用的晶振频率为50Hz。-VHDL language implementation of the timer with a maximum time of 24 hours, timing accuracy of 1ms, with reset, and pause functions, using the crystal oscillator frequency is 50Hz.
Platform: | Size: 3072 | Author: 周峰 | Hits:

[Documents6weishu

Description: 六位数显频率计的程序设计-Six-digit frequency counter program design significant
Platform: | Size: 41984 | Author: 李要乾 | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 33 »

CodeBus www.codebus.net